当前位置:首页 » 操作系统 » modelsimforlinux

modelsimforlinux

发布时间: 2022-06-12 00:02:08

① Modelsim for linux 哪里下载

官网注册后可免费下载

http://model.com/content/modelsim-downloads

打开后有十来个文件,其中for linux 的只有4个,下载即可,速度也不是很慢

② modelsim有什么优点为什么要用它仿真

modelsim是专业的仿真软件,其操作简单,仿真运行速度比同类的其他仿真软件都要快很多。并且它支持GUI和dos命令双重操作,对linux系统也是适用的。

③ 请教各位大牛一个在linux下启动ModelSim 10.2c的问题

首先,让我们来看看安装过程:

for modelsim:

1 下载modelsim for linux的下载文件。应该总共有三个文件,分别是base,docs和linux.exe。都是gz压缩的。
2 解压缩linux.exe,解出来的是可以在终端中直接运行的文件,运行之,并安装。
3 分别解压base和docs在同一目录下。
4 把这时候的modeltech目录(也就是解压缩和安装后形成的目录)移动到你希望程序所存放的目录下,我这里选的是/opt。
5 把windows上的******文件拷贝到/opt/modeltech目录下。这个liense文件最好是在本机的windows环境下生成的。如果机器没有win环境,一定要把所使用的生成机器的mac改成自己机器的mac再用生成器生成。否则******不可用。
6 在home下的.bashrc中添加export LM_******_FILE=/YourInstallPath/Your******FileName,然后source .bashrc
7 进入安装目录下的linux,运行./vsim即可。

for ise and edk

1 首先,这个没有什么好说的,直接安装for linux平台的setup就行了。推荐把所有安装文件拷贝到本地硬盘上安装,这样快一些。
2 然后分别进入各自目录,运行settings.sh,这个是环境变量的配置文件
3 一劳永逸的做法,应该是在用户目录的 .bashrc 中将这两个sh配置文件都source进来。我用的是ubuntu,基于debian的,其它类型系统不一定有.bashrc,具体是什么文件自行摸索,反正是用户配置文件。

然后介绍一些如何用modelsim快速编译xilinx库文件。网上有很多不同版本的方法,下面这种是比较方便的一种:

1.首先将modelsim.ini文件只读模式去掉,存档前面打对勾。
2.在您安装ise的目录下,进入到bin/linux目录下,例如xxx:ise6in t,确认有compxlib这个程序
3.在终端中中运行compxlib -s mti_se -f all -l all -o xxxmodeltech_6.0xilinx_libs就可以了,xxx:modeltech_6.0是我安装modelsim的目录,您可以作相应的更改。参数也可以按照您的要求作相应的更改。

这样就搞定了。需要注意的是,如果你用的是windows系统,千万记住ise和modelsim的安装目录都不要出现空格,最好是直接安装在根目录下。

具体单独用modelsim进行behavioral model的方法是,打开modelsim,把你的代码和仿真代码文件加入,别忘了加入glbl.v文件。在哪里?自己找吧。然后编译,work库这些设置好后,命令行(modelsim里的)输入

vsim -L Xilinxcorelib_ver -L unisims_ver -L simprims_ver -lib work $yourtestname glbl

就可以了。

由于自己喜欢用vim编辑文件,感觉vim编辑verilog时比较不方便,vmake生成的makefile不是很全,所以花了一天晚上,总结了一个比较通用的,用于linux,unix环境的,适用于veirlog编程的make文件,和vim以及modelsim有机接合,可以编辑时直接编译,最后自动保存波形并观看。由于时间关系,以后再补充增加了ise处理命令的版本。
makefile如下:

EXECUTABLE := top_mole #to be correct
MODULE := mole_to_be_sim #to be correct

CC := vlog
CFLAGS := -reportprogress 300 +incdir+./include -work work -incr # add more option here if you want to compile with some library
COMPILE := $(CC) $(CFLAGS)

SIM := vsim
VIEW := vsim
TIME := -all
ACTION := "log -ports -internal $(EXECUTABLE)/*;log -r $(EXECUTABLE)/$(MODULE)/*;run $(TIME);quit"
SFLAGS := -c -do $(ACTION) -wlf $(EXECUTABLE).wlf
SIMULATE := $(SIM) $(SFLAGS)

SRCS := $(wildcard *.v )

all:$(EXECUTABLE)

$(EXECUTABLE):$(SRCS)
$(COMPILE) $(SRCS)

#run this when you compile the code the first time
start:
vlib work && vmap work work

sim:
$(SIMULATE) work.$(EXECUTABLE)
$(VIEW) $(EXECUTABLE).wlf

clean:
rm -rf *.wlf && bg && pkill make && pkill vish

刚想出来,有待改进。下面是我思路的大致介绍

1 在机器上安装完modelsim(废话)

2 为你的工程建立一个文件夹,首先用make start建立好库文件。目录里面要包括源文件和测试文件。估计所有人都是这样的吧~~

3 如果目录不变,则以后的仿真都用这个work库就行了。但是如果工作目录变化的话,每一次都要重新运行一次make start,这样才能在当前目录下生成work目录,用以记录各种库中的各个模块信息。

4 直接make。从makefile中可以看出,调用的是vlog工具,打开了增量编译,因此在对任何一个源文件进行修改的时候,放心make就行了,速度很快,立刻提示错误,呵呵。如果你使用的语言是VHDL,要把vlog改成vcom。具体VHDI的命令行编译我没有研究,请参考 modelsim_cmd_ref_ug.pdf这个官方文件。

5 之后用make sim进行波形仿真和查看,如果在testbench中用了display或者monitor这种语句的话,那么输出值会在终端中显示,还会保存在当前目录的transcript中。波形文件用vsim看,这是唯一需要打开gui的地方。其他时候全部命令行执行,效率高多了,自己感觉,呵呵。

我现在的问题是,只能从终端用vsim命令来启动modelsim,如果想把快捷程序图标加到面板上,属性设成 /opt/modeltech/linux/vsim(安装目录),怎么点都没有不能打开程序。由于无法直接让vsim在后台执行,所以要不然就手工关闭,要不就自己c+z后用make clean关闭,这样比较不方便,正在想改进办法,看能否不关闭gui而直接重新编译并看波形,这个也有待实验。我本来想用vsim xx.wlf &的,在终端下可以,写进makefile就不行,还没有找到原因。

④ 有没有人在虚拟机中用过modelsim

用过。如果是crack版,在虚拟机里重新破解,不要直接从原机中拷贝license文件。

⑤ linux下如何用ISE调用modelsim synplify

打开ISE,菜单的“edit”-“preference”,“integrated tools”,选择对应工具的安装路径,保存。

⑥ 求助,linux下modelsim license的问题

您好,我在别的论坛也看到您的问题,很高兴为您解答:

确认以下问题:
1. 产生license的网卡地址是linux里的地址(ifconfig -a|more查看)
MentorGK.exe -h 000000000000 -none -ck -all (000000000000换成你linux的网卡地址)
2. LM_LICENSE_FILE指向到你的license了没?并export LM_LICENSE_FILE ,
3. PATH指向到了modelsim/modeltech/linux
4. 如果还不行的话,换一台电脑产生license试试

如果我的回答没能帮助您,请继续追问。

⑦ 如何安装modelsim 10.1c 64 linux

现在,随着Win7、Win8操作系统的市场份额增大,大家购买的笔记本基本上都是64位配置的操作系统,因此,modelsim等软件也要升级换代,但是,modelsim官方网页已经没有大家熟悉的SE版本下载安装程序:modelsim-win64-10.1c-se.exe系统环境:windows8prox64下载完毕后,可以直接在win7内运行安装,安装过程中会让选择是否“”,选择NO,最后按要求reboot。

⑧ 用modelsim编程时会时不时出现一个黑圆点是什么情况(可以删除)如图:

这是不同操作系统的格式控制字符不同所致。modelsim最早是在linux下用的,后来移植到windows下,而linux的文本文件格式控制字符和windows下的稍有不同。比如就回车换行符来说windows下是: \r\n ,对应ASCII值13和10;unix/linux: \n,对应ASCII值是10,当然还有其他一些不一样的字符。在用户保存文件是,modelsim会增加一些控制字符,以兼容其他系统,这样,可能会多出来一些字符,多出来的控制字符就会被显示成圆点或其他可视字符。这个不影响使用,编译器一般都能自动过滤的。

⑨ linux系统中如何调出modelsim波形界面

cd到modelsim的安装目录/bin文件下,或设路径。。
./modelsim 如果就是这个名字
一般都是这样运行的。。。

热点内容
java中if中的if 发布:2024-09-17 04:38:16 浏览:264
c语言33 发布:2024-09-17 04:31:19 浏览:16
安卓手机怎么转移苹果系统 发布:2024-09-17 04:19:07 浏览:934
sql从表中查询数据 发布:2024-09-17 04:19:07 浏览:972
米粒泥解压 发布:2024-09-17 04:19:01 浏览:729
2010word加密方法 发布:2024-09-17 04:17:36 浏览:282
crv哪个配置性价比高2021 发布:2024-09-17 04:07:51 浏览:37
wincc图形编译在哪里 发布:2024-09-17 03:58:26 浏览:978
androidubuntu 发布:2024-09-17 03:50:27 浏览:702
识梦源码 发布:2024-09-17 03:50:18 浏览:27