當前位置:首頁 » 操作系統 » 插接式資料庫

插接式資料庫

發布時間: 2025-03-15 23:52:59

㈠ 如果資料庫伺服器死機,以下哪幾個會發生

根據電腦死機發生時的情況可將其分為四大類:

①開機過程中出現死機:在啟動計算機時,只聽到硬碟自檢聲而看不到屏幕顯示,或乾脆在開機自檢時發出鳴叫聲但計算機不工作、或在開機自檢時出現錯誤提示等;

②在啟動計算機操作系統時發生死機:屏幕顯示計算機自檢通過,但在裝入操作系統時,計算機出現死機的情況;

③在使用一些應用程序過程中出現死機:計算機一直都運行良好,只在執行某些應用程序時出現死機的情況;

④退出操作系統時出現死機:就是在退出Win98等系統或返回DOS狀態時出現死機。

由干在「死機」狀態下無法用軟體或工具對系統進行診斷,因而增加了故障排除的難度。死機的一般表現有:系統不能啟動、顯示黑屏、顯示「凝固」、鍵盤不能輸入、軟體運行非正常中斷等。死機的原因大概有千千萬萬種,但只有兩個方面:一是由電腦硬體引起的,一是軟體設計不完善或與系統和系統其它正在運行的程序發生沖突。在硬體方面,禍首就是近來在電腦DIY界流行的「超頻』一—讓CPU工作在額定運行頻率以外的時鍾頻率上,CPU處於超額工作狀態,出現死機就不奇怪了;其次一個原因是某個硬體過熱,或者硬體資源沖突。當然還有其他一些硬體方面的原因。在軟體方面,因為軟體原因而造成的死機在電腦中幾乎佔了大多數(超頻了的電腦除外)。在Windows9x系列中使用了16位和32位混合的內核模式,因此安全性很低,因程序內存沖突而死機是經常會發生的事情。下面就來介紹一下遇到死機故障後一般的檢查處理方法。

一、排除系統「假」死機現象

1.首先排除因電源問題帶來的「假」死機現象。應檢查電腦電源是否插好,電源插座是否接觸良好,主機、顯示器以及列印機、掃描儀、外置式MODEM,音箱等主要外接電源的設備電源插頭是否可靠地插入了電源插座、上述各部件的電源開關是否都處於開(ON)的狀態。

2.檢查電腦各部件間數據,控制連線是否連接正確和可靠,插頭間是否有松動現象。尤其是主機與顯示器的數據線連接不良常常造成「黑屏」的假死機現象。

二、排除病毒感染引起的死機現象

用無毒干凈的系統盤引導系統,然後運行KILL,AV95、SCAN等防病毒軟體的最新版本對硬碟進行檢查,確保電腦安全,排除因病毒引起的死機現象。

另外,如果在殺毒後引起了死機現象,這多半是因為病毒破壞了系統文件、應用程序及關鍵的數據文件,或是殺毒軟體在消除病毒的同時對正常的文件進行了誤操作,破壞了正常文件的結構。碰到這類問題,只能將被損壞(即運行時引起死機)的系統或軟體重裝。

三、排除軟體安裝、配置問題引起的死機現象

1.如果是在軟體安裝過程中死機,則可能是系統某些配置與安裝的軟體沖突。這些配置包括系統BIOS設置、CONFIG.SYS和AUTOEXEC.BAT的設置、WIN.INI、SYSTEM.INI的設置以及一些硬體驅動程序和內存駐留程序的設置。

可以試著修改上述設置項。對BIOS可以取其默認設置,如「LOAD SETUP DEFAULT」和「LOAD BIOS DEFAULT」;對CONFIG.SYS和AUTOEXEC.BAT則可以在啟動時按F5跳過系統配置文件或按F8逐步選擇執行以及逐項修改CONFIG.SYS和AUTOEXEC.BAT中的配置(尤其是EMM386中關於EMS、XMS的配置情況)來判斷硬體與安裝程序什麼地方發生了沖突,一些硬體驅動程序和內存駐留程序則可以通過不裝載它們的方法來避免沖突。

2.如果是在軟體安裝後發生了死機,則是安裝好的程序與系統發生沖突。一般的做法是恢復系統在安裝前的各項配置,然後分析安裝程序新裝入部分使用的資源和可能發生的沖突,逐步排除故障原因。刪除新安裝程序也是解決沖突的方法之一。

四、根據系統啟動過程中的死機現象來分析

系統啟動過程中的死機現象包括兩種情況:

1.致命性死機,即系統自檢過程未完成就死機,一般系統不給出提示。對此可以根據開機自檢時致命性錯誤列表的情況,再結合其它方法對故障原因作進一步的分析。

2.非致命性死機,在自檢過程中或自檢完成後死機,但系統給出聲音、文字等提示信息。可以根據開機自檢時非致命性錯誤代碼表和開機自檢時鳴笛音響對應的錯誤代碼表來檢查;開機自檢時鳴笛音響對應的錯誤代碼表中所列的情況是對可能出現故障的部件作重點檢查,但也不能忽略相關部件的檢查,因為相當多的故障並不是由提示信息指出的部件直接引起,而常常由相關部件故障引發。

五、排除因使用、維護不當引起的死機現象

電腦在使用一段時間後也可能因為使用、維護不當而引起死機,尤其是長時間不使用電腦後常會出現此類故障。引起的原因有以下幾種:

1.積塵導致系統死機:灰塵是電腦的大敵。過多的灰塵附著在CPU、晶元、風扇的表面會導致這些元件散熱不良,電路印刷板上的灰塵在潮濕的環境中常常導致短路。上述兩種情況均會導致死機。

具體處理方法可以用毛刷將灰塵掃去,或用棉簽沾無水酒精清洗積塵元件。注意不要將毛刷和棉簽的毛、棉留在電路板和元件上而成為新的死機故障源。

2.部件受潮:長時間不使用電腦,會導致部分元件受潮而不能正常使用。可用電吹風的低熱擋均勻對受潮元件「烘乾」。注意不可對元件一部分加熱太久或溫度太高,避免烤壞元件。

3.板卡、晶元引腳氧化導致接觸不良:將板卡、晶元拔出,用橡皮擦輕輕擦拭引腳表面去除氧化物,重新插入插座。

4.板卡、外設介面松動導致死機:仔細檢查各I/O插槽插接是否正確,各外設介面接觸是否良好,線纜連接是否正常。

六、排除因系統配置不當引起的死機現象

系統配置與電腦硬體設備和系統BIOS、主板上跳線開關設置密切相關,常見的死機故障原因有:

1.主頻設置不當:此類故障主要有CPU主頻跳線開關設置錯誤、Remark的CPU引起的BIOS設置與實際情況不符、超頻使用CPU,或CPU性能不良死機。

2.內存條參數設置不當:此類故障主要有內存條設置錯誤和Remark內存條引起的BIOS設置與實際情況不符。

3.CACHE參數設置不當:此類故障主要有CHCHE設置錯誤、RemarkCACHE引起的BIOS設置與實際情況不符。

4.CMOS參數被破壞:頻繁修改CMOS參數,或病毒對CMOS參數的破壞,常常會導致CMOS參數混亂而很難恢復。可以採用對CMOS放電的方法並採用系統BIOS默認設置值重新設定CMOS參數。CMOS的放電方法可參照主板說明書進行。如果是病毒感染引起的,在重設CMOS參數後,還必須對硬碟殺毒。

七、排除因硬體安裝不當引起的死機現象

硬體外設安裝過程中的疏忽常常導致莫名其妙的死機,而且這一現象往往在電腦使用一段時間後才逐步顯露出來,因而具有一定的迷惑性。

1.部件安裝不到位、插接松動、連線不正確引起的死機,顯示卡與I/0插槽接觸不良常常引起顯示方面的死機故障,如「黑屏」,內存條、CACHE與插槽插接松動則常常引起程序運行中死機、甚至系統不能啟動,其它板卡與插槽(插座)的接觸問題也常常引起各種死機現象。要排除這些故障,只須將相應板卡、晶元用手摁緊、或從插槽(插座)上拔下重新安裝。如果有空閑插槽(插座),也可將該部件換一個插槽(插座)安裝以解決接觸問題。線纜連接不正確有時也會引發死機故障。

2.安裝不當導致部件變形、損壞引起的死機口徑不正確、長度不恰當的螺釘常常導致部件安裝孔損壞,螺釘接觸到部件內部電路引起短路導致死機,不規格的主板、零部件或不規范的安裝步驟常常引起機箱、主板、板卡外形上的變異因而擠壓該部件內部元件導致局部短路、內部元件損壞從而發生莫名其妙的死機。如果只是電腦部件外觀變形,可以通過正確的安裝方法和更換符合規格的零部件來解決;如果已經導致內部元件損壞,則只能更換新的零部件了。

八、排除因硬體品質不良引起的死機現象

一般說來,電腦產品都是國際大廠商按照國際標准流水線生產出來的,部件不良率是很低的。但是高利潤的誘惑使許多非法廠商對電腦標准零部件改頭換面、進行改頻、重新標記(Remark)、以次充好甚至將廢品、次品當作正品出售,導致這些「超水平」發揮的產品性能不穩定,環境略有不適或使用時間稍長就會頻繁發生故障。尤其是CPU、內存條、主板等核心部件及其相關產品的品質不良,是導致無原因死機的主要故障源。應著重檢查以下部件:

1.CPU CPU是被假冒得最多也是極容易導致死機的部件。被Remark的CPU在低溫、短時間使用時一切正常,但只要在連續高溫的環境中長時間使用,其死機弊端就很容易暴露。使用Windows、3DS等對CPU特性要求較高的軟體比DOS等簡單軟體更能發現CPU的問題。如需確認是否為此故確認是否為此故障可參照說明書將CPU主頻跳低1到2個檔次使用,比如將166降為150、133或120使用。如果死機現象大幅度減少或消失,就可以判斷是CPU有問題。也可以用交換法,更換同型號的正常CPU,如果不再死機一般可以斷定是CPU的問題。有些用戶喜歡把CPU超頻使用以獲得高速的性能,這也是常導致計算機死機的原因。一般將CPU跳回原頻率就能解決死機問題。

2.內存條 內存條常常被做的手腳有:速度標記被更改,如:70ns被Remark為60ns,非奇偶校驗冒充奇偶校驗內存,非EDO內存冒充EDO內存,劣質內存條冒充好內存條。在BIOS中將內存條讀寫時間適當增加(如:從60ns升為70ns),如果死機消失可以斷定是內存條速度問題。如果是內存本身的質量問題,只有更換新的內存條才能解決。

3.主板 一般主板的故障常常是最先考慮然而卻是要到最後才能確定的。除了印刷板上的飛線、斷線和主板上元件被燒焦、主板受擠壓變形、主板與機箱短路等明顯的現象外,主板本身的故障只有在確認了主板上所有零部件正常(將你的板卡、CPU、內存條等配件拿到好的主板上使用正常,而別人使用正常的板卡、器件插到你的主板上就不能正常運行)時才能判斷是否是主板故障,如果更換了好的同型號主板死機依然存在、則可能是該主板與某個零部件不兼容。要麼更換兼容的其它型號的主板、要麼只能用拔插法依次測試各板卡、晶元,找出不兼容的零部件更換之。

4.電源、風扇、機箱等 劣質電源、電源線纜故障、電源插接松動、電源電壓不穩都是引起不明原因死機的罪魁禍首。CPU風扇、電源風扇轉動不正常、風扇功率不足則會引起CPU和機箱內「產熱大戶」元件散熱不良因而引起死機。

九、系統黑屏故障的排除

系統死機故障多半表現為黑屏(即顯示器屏幕上無任何顯示)、這類故障與顯示器、顯示卡關系很密切,同時系統主板、CPU、CACHE、內存條,電源等部件的故障也能導致黑屏。系統黑屏死機故障的一般檢查方法如下:

1.排除「假」黑屏:檢查顯示器電源插頭是否插好,電源開關是否已打開,顯示器與主機上顯示卡的數據連線是否連接好、連接搖頭是否松動,看是否是因為這些因素而引起的黑屏。另外,應該動一下滑鼠或按一下鍵盤看屏幕是否恢復正常。因為黑屏也可能是因為設置了節能模式(可在BIOS設置中查看和修改)而出現的假死機。

2.在黑屏的同時系統其它部分是否工作正常,如:啟動時軟/硬碟驅動器自檢是否通過、鍵盤按鍵是否有反應等。可以通過交換法用一台好的顯示器接在主機上測試、如果只是顯示器黑屏而其它部分正常,則只是顯示器出了問題,這仍是一種假死機現象。

3.黑屏發生在系統開機自檢期間,請參見第四步。

4.黑屏發生在顯示驅動程序安裝或顯示模式設置期間,顯然是選擇了顯示系統不能支持的模式,應選擇一種較基本的顯示方式。如:Windows下設置顯示模式後黑屏或花屏,則應在DOS下運行Windows目錄下的SETUP.EXE程序選擇標准VGA顯示方式。

5.檢查顯示卡與主板I/O插槽接觸是否正常、可靠,必要時可以換一個I/O槽插入顯示卡試試。

6.換一塊已確認性能良好的同型號顯示卡插入主機重新啟動,若黑屏死機現象消除則是顯示卡的問題。

7.換一塊已確認性能良好的其它型號顯示卡插入主機重新啟動,若黑屏死機現象消除則是顯示卡與主機不兼容,可以考慮更換顯示卡或主板。

8.檢查是否錯誤設置了系統的核心部件,如CPU的頻率、內存條的讀寫時間、CACHE的刷新方式、主板的匯流排速率等,這些都可能導致黑屏死機。

9.檢查主機內部各部件連線是否正確,有一些特殊的連線錯誤會導致黑屏死機。

10.請參見本文的其它步騾所列的死機故障診斷方法,這些故障導致的死機常常也伴隨著黑屏。

㈡ 請問IP核是什麼

IP核(Intellectual Property core)是一段具有特定電路功能的硬體描述語言程序,該程序與集成電路工藝無關,可以移植到不同的半導體工藝中去生產集成電路晶元。
概述編輯
利用IP核設計電子系統,引用方便,修改基本元件的功能容易。具有復雜功能和商業價值的IP核一般具有知識產權,盡管IP核的市場活動還不規范,但是仍有許多集成電路設計公司從事IP核的設計、開發和營銷工作。IP核有兩種,與工藝無關的VHDL程序稱為軟核;具有特定電路功能的集成電路版圖稱為硬核。硬核一般不允許更改,利用硬核進行集成電路設計難度大,但是容易成功流片。
2基本分類編輯
IP內核的三種類型
IP內核可以在不同的硬體描述級實現,由此產生了三類IP內核:軟核、固核和硬核。這種分類主要依據產品交付的方式,而這三種IP內核實現方法也各具特色。
軟核功能
軟核是用VHDL等硬體描述語言描述的功能塊,但是並不涉及用什麼具體電路元件實現這些功能。軟IP通常是以硬體描述語言HDL源文件的形式出現,應用開發過程與普通的HDL設計也十分相似,只是所需的開發硬軟體環境比較昂貴。軟IP的設計周期短,設計投入少。由於不涉及物理實現,為後續設計留有很大的發揮空間,增大了IP的靈活性和適應性。其主要缺點是在一定程度上使後續工序無法適應整體設計,從而需要一定程度的軟IP修正,在性能上也不可能獲得全面的優化。由於軟核是以源代碼的形式提供,盡管源代碼可以採用加密方法,但其知識產權保護問題不容忽視。
硬核功能
硬核提供設計階段最終階段產品:掩模。以經過完全的布局布線的網表形式提供,這種硬核既具有可預見性,同時還可以針對特定工藝或購買商進行功耗和尺寸上的優化。盡管硬核由於缺乏靈活性而可移植性差,但由於無須提供寄存器轉移級(RTL)文件,因而更易於實現IP保護。
固核功能
固核則是軟核和硬核的折衷。大多數應用於FPGA的IP內核均為軟核,軟核有助於用戶調節參數並增強可復用性。軟核通常以加密形式提供,這樣實際的 RTL對用戶是不可見的,但布局和布線靈活。在這些加密的軟核中,如果對內核進行了參數化,那麼用戶就可通過頭文件或圖形用戶介面(GUI)方便地對參數進行操作。對於那些對時序要求嚴格的內核(如PCI介面內核),可預布線特定信號或分配特定的布線資源,以滿足時序要求。這些內核可歸類為固核,由於內核是預先設計的代碼模塊,因此這有可能影響包含該內核的整體設計。由於內核的建立(setup)、保持時間和握手信號都可能是固定的,因此其它電路的設計時都必須考慮與該內核進行正確地介面。如果內核具有固定布局或部分固定的布局,那麼這還將影響其它電路的布局。
介紹
IP(知識產權)核將一些在數字電路中常用,但比較復雜的功能塊,如FIR濾波器、SDRAM控制器、PCI介面等設計成可修改參數的模塊。IP核的重用是設計人員贏得迅速上市時間的主要策略。隨著CPLD/FPGA的規模越來越大,設計越來越復雜(IC的復雜度以每年55%的速率遞增,而設計能力每年僅提高21%),設計者的主要任務是在規定的時間周期內完成復雜的設計。調用IP核能避免重復勞動,大大減輕工程師的負擔,因此使用IP核是一個發展趨勢。
分類
IP核包括硬IP與軟IP。可配置IP是參數化後的可重定目標IP,其優點是可以對功能加以裁剪,以符合特定的應用。這些參數包括匯流排寬度、存儲器容量、使能或禁止功能塊。
軟IP是以綜合形式交付的,因而必須在目標工藝中實現,並由系統設計者驗證。其優點是源代碼靈活,可重定目標於多種製作工藝,在新功能級中重新配置。
不過大多數庫是收費的,但也可以從網上下載一些免費的IP核。
3技術應用編輯
數字到模擬轉換器(DACs)將一個二進制數轉換為與之對應的電壓值,常用的D/A轉換器都是由電阻或電容加權網路、受碼元控制的開關和基準電壓或電流源組成。當D/A轉換器需要轉換的信號每次取樣字長很長時,對這些電路的精度要求很高,並且還必須在整個溫度范圍和整個使用壽命期間內保持電路參數的穩定。例如,一個16位的D/A轉換器,其MSB的精度必須在1/2 16以內,這是很困難的。所以,需尋求一種中保持高解析度又可降低對電路精度和穩定度要求的方法。
可綜合的Delta-Sigma DAC(術語Delta-Sigma分別指算術差與和,即Δ-∑DAC),是Xilinx公司提供的免費IP核,可從網上下載得到。
4數字技術編輯
Delta-Sigma DAC使用數字技術,因而它不受溫度的影響,並且能在一片可編程邏輯器件中實現。避免在D/A轉換器中使用匹配電阻,不僅能更便宜,而且,其轉換是線性的。Delta-Sigma DAC實際上是高速單個位的DAC,用數字反饋技術,在輸出端產生一串脈沖。脈沖串中信號為高電平的時間部分與二進制輸入成比例,當這個脈沖串通過一個模擬低通濾波器後就得到一個模擬輸出信號。
圖1
是一個典型的可編程邏輯器件實現的DAC的頂層電路圖,輸入信號有復位信號、時鍾信號以及二進制數據匯流排。輸出DACoutDrvr驅動一個外部的低通濾波器Vout能從0V~Vcco。這里Vcco是FPGA I/O塊的供電電壓。輸入/輸出詳細說明如表1所列。
表1 輸入輸出描述表
信號 方向 描 述
DACOUT 輸出 驅動外部低通濾波器的脈沖串(通過一個輸出驅動器)
DACIN 輸入 數字輸入匯流排,值必須設置成鍾的正沿
clk 輸入 正沿有效
Reset 輸入 復位信號初始化SigmaLatch和輸出D觸發器
DAC的二進制輸入是一個無符號數。「0」代表最低電壓,輸出的模擬電壓也只有正的。「0」輸入產生0V輸出,輸入端全「1」,則輸出近似達到Vcco。
圖2
是Delta-Sigma DAC的原理框圖,二進制輸入的位寬是可變的。為簡單起見,電路原理圖描述了一個8位二進制輸入的DAC。
在這個器件中,二進制加法器用來產生和,也用來產生差。盡管Delta Adder的輸入是無符號數,兩個加法器的輸出卻都是有符號數。Delta Adder計算DAC輸入和當前DAC輸出的差,並用一個二進制數表示。因為DAC的輸出是一個單個的位,因此它不是1就是0。如圖2所示,當輸入加上由Sigma Latch的輸出的兩個拷貝與0構成的10位數,就產生差值,這也補償了DACIN是無符號數的事實。Sigma Adder將它原來的輸出(保存在Sigma Latch中)與當前的Delta Adder的輸出相加。
圖1中輸出電壓與輸入電壓的關系為
VOUT=(DACIN/(2MSBI+1))×VCCO
式中單位為V。
例如,對於一個8位DAC(MSBI=7),最後的輸出是這樣:DACIN輸入是0,則輸出也是0;DACIN輸入是十六進制數FF時,輸出值為最大(255/256)×Vcco。
阻容低通濾波器適合多數應用需要,一個簡單的阻容低通濾波器就能工作得很好。
Vs的定義是:DAC輸入增加或減少時,在Vout端產生變化的絕對值。對一個8位DAC,Vs等於(1/256)×Vcco。
Vout能夠產生在0V~Vcco之間可變的電壓,具體的值由DACIN的位寬和輸入的數值決定。
Delta-Sigma DAC適合需要相對高精度的低頻應用。在這種應用中,電壓不會很快地變化,因此,RC的時間常數可以很大,以減小雜訊。
這種DAC最廣泛的應用就是產生通常直流電壓。這包括電壓控制振盪器、電壓控制運算放大器、I/O參數電壓、可編程電壓源、波形發生器(正弦、三角等)、A/D轉換中的參考電壓等。
Delta-Sigma DAC是一個例子,說明高速可編程邏輯器件能用於混合信號系統,以減少元件的數量。可編程邏輯器件的速度和密度使它們成為模擬信號產生和處理方面理想的元件。
5語言程序編輯
用VHDL語言編寫的程序
library ieee;
use ieeestd_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity dac_ds is
port(reset :in std_logic;
clk :in std_logic;
din :in std_logic_vector(7 downto 0);--Signed integer
dout :out std_logic;
);
end dac_ds;
architecture arch_dac_ds of dac_ds is
signal error :std_logic_vector(9 downto 0);--Error accumulator is 2 bits larger
constant zeros:std_logic_vector(7 downto 0):=(others=>'0');
begin
process(reset,clk,din)
variable val :std_logic_vector(9 downto 0);
begin
if reset='1'then
error<=(others=>'0');
dout<='0';
elsif clk'event and clk='1' then
--val:=din+error;din is sign extended to nbits+2
val:=(din(din'high)&din(din'high)&din)+error;
if val(val'high)='0'then
dout<='1';
error<=val+("11"& zeros);
else
dout<='0';
error<=val+("01"&zeros);
end if;
end if;
end process;
end arch_dac_ds;
6選擇和配置編輯
選擇MAX7000S系列可編程邏輯器件,編譯後由MAX+PLUS II軟體自動配置進EMP7032SLC44晶元,將生成的目標文件通過編程電纜對器件進行編程。
將該IP核實現的D/A轉換器用於新型智能電阻爐溫度控制儀中,因為調節爐溫的信號不要求變化很快,因此DAC的輸入二進制信號為緩變信號。對於這種低頻應用,可以將RC時間常數取得較大,以減小雜訊。這樣,可綜合的VHDL語言Delta-Sigma DAC模塊配置進EMP7032晶元後,達到了預期的效果。
7注意事項編輯
知識產權(IP)的再使用是設計組贏得迅速上市時間的主要策略,因為留給設計者完成諸如蜂窩電話和Internet路由器等熱門IC設計的周期只有3個月。設計者還需面對這樣一個嚴酷的現實,即IC的復雜度以每年55%的速率遞增,而設計能力每年僅提高21%。
為系統設計者專門製作的再使用IP彌補了這方面的不足。再使用既為IP建立者,也為系統設計者提供一種共享最佳IP核和主導模塊的方法。系統設計者的主要任務是在規定的周期時間內研發出復雜的設計。這只有採用新設計方法和完全不同的晶元設計理念才能完成。IP再使用已經成為系統設計方法的關鍵所在。
標准選擇
標準是再使用的基礎。在設計一個系統時,可供工程人員考慮的工業標准很多,比如半導體再使用標准(SRS),它是對各種工業再使用創議標準的補充,提出這些創議標準的組織包括「虛插座介面聯盟VSIA」等。再使用標准為IP設計流程中的各階段提供規則、指南和介面方法。它是高效設計方法的一個里程碑,讓可再用IP快捷地、即插即用地集成到系統解決方案成為可能。
再使用標准通常涉及到許多方面,如系統級設計、結構、實現、驗證以及文件編制和可交付清單等與IP有關的事項。例如,結構分類目錄解決片上或片外的介面。實現分類目錄通過HDL代碼標准、物理表示、單元庫以及模擬子單元集中解決如何建立IP的問題。功能驗證及可測試設計(DFT)標准則包含在驗證分類目錄中。
選擇
根據IP使用的劃分,IP建立者可按下列三種形式設計IP:可再用、可重定目標以及可配置。可再用IP是著眼於按各種再使用標準定義的格式和快速集成的要求而建立的,便於移植,更重要的是有效集成。可重定目標IP是在充分高的抽象級上設計的,因而可以方便地在各種工藝與結構之間轉移。可配置IP是參數化後的可重定目標IP,其優點是可以對功能加以裁剪以符合特定的應用。這些參數包括匯流排寬度、存儲器容量、使能或禁止功能塊。硬IP與軟IP的意圖不同,因而對IP的開發和在這之後的IP的集成採用不同的方法。
硬IP
硬IP對功率、體積和性能進行了優化,並映射至特定的工藝。具體實例包括已完成布局布線的網表,以特定工藝庫或全定製物理布圖,或兩者之組合。硬IP是特定工藝來實現的,通常用GDSII格式表示。硬IP可以再使用,且由於它已處於設計表示的最底層,因而最容易集成。硬IP已完全用目標工藝實現是按十分接近於標准單元庫元件的形式交付的,故而允許設計者將IP快速地集成在衍生產品中。硬IP最大的優點是確保性能,如速度、功耗等。然而難以轉移到新工藝或集成到新結構中,是不可重配置的。
軟IP
軟IP是以綜合形式交付的,因而必須在目標工藝中實現,並由系統設計者驗證。其優點是源代碼的靈活性,它可重定目標於多種製作工藝,在新功能級中重新配置。
由於設計以高層次表示,因而軟IP是可再用的,易於重定目標和重配置,然而預測軟IP的時序、面積與功率諸方面的性能較困難。為了實現最高效率的再使用並減少集成時間,IP應從軟體源代碼開始;而為了確保性能,復雜IP應以硬IP的形式共享。
軟插接
軟插接是開發符合再使用標准IP的過程,它應成為建立新IP設計流程的組成部分。過程需要有關IP深層的知識,因此只有IP建立者最熟知IP塊,有能力建立這些些概念,在時序分析時去除假通路,並最終確定結果的正確性。與DFT一樣,軟插接會修改現有的設計流程來適應再使用設計和生成附加可交付項,因此在設計流程中應及早考慮再使用事項。
提供獲取
IP資源庫為IP建立者和系統設計者提供共享和使用IP的基礎設施。這個系統應讓IP建立者和系統設計者共享硬和軟兩方面的可再用IP。資源庫提供多場所的全方位訪問,系統集成的全方位開發。它也是設計師搜索、選擇、將再使用塊集成到自己系統中的快捷而又簡便的途徑。
資源庫基礎設施還應開辟一個區域,讓系統開發者提供反饋、出錯報告、錯誤改正及資源庫中任何有關IP塊的註解。反饋信息塊建立者對錯誤的修復與改進說明一起是塊資料庫列表的一部分。
8技術認證編輯
認證
認證能確定IP塊是否符合相關的再使用標准。它提供一把表徵塊再使用質量的尺度,應在IP進入資源庫前完成。由於IP建立者熟知IP,他應測試塊概念間的一致性以及與工具、庫以及硬體平台的兼容性。一個獨立的認證小組通過對可交付性、再使用性以及出錯歷史記錄的隨機抽樣,預測IP核的質量和可靠性,定出IP的分類等級。這個等級讓設計者有一個總體概念,如IP符合標準的准確性有多好,再使用需多大的軟插接工作量。
集成優化
對IP核的再使用,建立者需軟插接IP、進行認證、將它存放在系統設計者能訪問的資源庫中。自動化工具提供多種手段,加速軟插接和資源庫的操作,認證和集成過程的部分自動化。工具製造商力爭實現更多的自動化。在理想情況下,全部IP塊可從資源庫中按需供給。
周期加速
設計者幾乎沒有能力在三個月設計周期內開發出合乎規格的新產品。如果對每個產品族建立一個設計平台,設計組就能充分發揮平台的作用,開發出產品的衍生品種。一種有效的再使用方法應讓可再使用IP的開發作為平台的一部分,並將IP塊快速地集成到衍生品種。
基礎設施
一旦IP開始普遍使用,提供該IP的支持是必要的。建立者繼續擁有IP,因為支持它需要深層的知識。建立者負責IP的更新,將最新版本放置在資源庫中。IP由為系統設計者服務的認證組重新認證。此外,建立者還應在系統設計者集成IP遇到困難時提供必需的支持。

㈢ 微型計算機的主要特點是什麼

微型計算機的主要特點是體積小、靈活性大、價格便宜、使用方便。

微型計算機簡稱微機,俗稱電腦,其准確的稱謂應該是微型計算機系統。它可以簡單地定義為:在微型計算機硬體系統的基礎上配置必要的外部設備和軟體構成的實體。

微型計算機系統從全局到局部存在三個層次:微型計算機系統、微型計算機、微處理器(CPU)。單純的微處理器和單純的微型計算機都不能獨立工作,只有微型計算機系統才是完整的信息處理系統,才具有實用意義。

一個完整的微型計算機系統包括硬體系統和軟體系統兩大部分。硬體系統由運算器、控制器、存儲器( 含內存、外存和緩存)、各種輸入輸出設備組成,採用「 指令驅動」方式工作。

軟體系統可分為系統軟體和應用軟體。系統軟體是指管理、監控和維護計算機資源(包括硬體和軟體)的軟體。它主要包括:操作系統、各種語言處理程序、資料庫管理系統以及各種工具軟體等。

其中操作系統是系統軟體的核心,用戶只有通過操作系統才能完成對計算機的各種操作。應用軟體是為某種應用目的而編制的計算機程序,如文字處理軟體、圖形圖像處理軟體、網路通信軟體、財務管理軟體、CAD軟體、各種程序包等。

(3)插接式資料庫擴展閱讀

完整的計算機系統包括兩大部分,即硬體系統和軟體系統。所謂硬體,是指構成計算機的物理設備,即由機械、電子器件構成的具有輸入、存儲、計算、控制和輸出功能的實體部件。下面介紹一下電腦主機的各個部件:

(1)電源:電源是電腦中不可缺少的供電設備,它的作用是將220V交流轉換為電腦中使用的5V,12V,3.3V直流電,其性能的好壞,直接影響到其他設備工作的穩定性,進而會影響整機的穩定性。

(2)主板:主板是電腦中各個部件工作的一個平台,它把電腦的各個部件緊密連接在一起,各個部件通過主板進行數據傳輸。

也就是說,電腦中重要的「交通樞紐」都在主板上,它工作的穩定性影響著整機工作的穩定性。主板一般為矩形電路板,上面安裝了組成計算機的主要電路系統,一般有BIOS晶元、I/O控制晶元、鍵盤和面板控制開關介面、指示燈插接件、擴充插槽、主板及插卡的直流電源供電接插件等元件。

(3)CPU:CPU(Central Processing Unit)即中央處理器,是一台計算機的運算核心和控制核心。其功能主要是解釋計算機指令以及處理計算機軟體中的數據。

CPU由運算器、控制器、寄存器、高速緩存及實現它們之間聯系的數據、控制及狀態的匯流排構成。作為整個系統的核心,CPU 也是整個系統最高的執行單元,因此CPU已成為決定電腦性能的核心部件,很多用戶都以它為標准來判斷電腦的檔次。

㈣ 電視內存不足怎麼辦

大家在用智能電視/盒子時會遇到提示內存不足的情況,影響使用體驗。蜜蜂市場整理了幾個辦法緩解內存不足問題, 供大家參考:

1、清理電視空間

電視存儲再大也是有限的,使用一段時間後勢必會產生緩存,或者安裝了許多app,都會佔去一部分空間。所以定期清理電視存儲空間是很有必要的,可以卸載一部分不常用的軟體,再使用一鍵清理類的軟體清除電視緩存來釋放空間


2、電視卡槽擴展外接

一般的智能電視都有卡槽,支持安裝SD卡擴展存儲,這是在電視設計之初就預備好的增加電視內存的辦法,性質和多年前我們給手機增加SD卡一樣,可以增加存儲

3、U盤或移動硬碟

智能電視/盒子都有一個以上USB介面,在電視內存不足時可以通過USB口連接U盤或者移動硬碟來增加電視存儲。U盤、移動硬碟價格相比前些年下降了不少,存儲空間也大。碰到電視存儲滿了時可以更改存儲路徑至U盤或移動硬碟。當然了還有一部分用戶喜歡自己在網上找資源放到電視上看,比如一些3D4K資源,這種可以直接外掛移動硬碟觀看,效果很好,也解決了智能電視端4K/3D資源不足的問題。

4、外接盒子

電視內存不足時也可以考慮直接外接盒子使用,電視只做顯示展示,畢竟內存不足也會影響最終的使用體驗。可以選擇口碑、配置都不錯的電視盒子,如蜜蜂盒子,配置不虛標、免費正版資源等優勢,用戶好評不斷

熱點內容
動態窗演算法 發布:2025-03-17 00:25:25 瀏覽:343
怎麼找回k寶密碼 發布:2025-03-17 00:17:23 瀏覽:243
方舟有電腦如何做伺服器 發布:2025-03-17 00:02:08 瀏覽:727
升級fw用ftp伺服器 發布:2025-03-16 23:27:35 瀏覽:344
汽車安全配置哪些好 發布:2025-03-16 23:16:42 瀏覽:175
vcmfc源碼 發布:2025-03-16 23:14:17 瀏覽:504
如何設置禁止訪問伺服器ip 發布:2025-03-16 23:14:07 瀏覽:500
linuxloadrunner 發布:2025-03-16 23:12:18 瀏覽:766
搭建fms伺服器 發布:2025-03-16 23:11:27 瀏覽:979
代碼編程圖片 發布:2025-03-16 23:09:58 瀏覽:413