當前位置:首頁 » 操作系統 » modelsimforlinux

modelsimforlinux

發布時間: 2022-06-12 00:02:08

① Modelsim for linux 哪裡下載

官網注冊後可免費下載

http://model.com/content/modelsim-downloads

打開後有十來個文件,其中for linux 的只有4個,下載即可,速度也不是很慢

② modelsim有什麼優點為什麼要用它模擬

modelsim是專業的模擬軟體,其操作簡單,模擬運行速度比同類的其他模擬軟體都要快很多。並且它支持GUI和dos命令雙重操作,對linux系統也是適用的。

③ 請教各位大牛一個在linux下啟動ModelSim 10.2c的問題

首先,讓我們來看看安裝過程:

for modelsim:

1 下載modelsim for linux的下載文件。應該總共有三個文件,分別是base,docs和linux.exe。都是gz壓縮的。
2 解壓縮linux.exe,解出來的是可以在終端中直接運行的文件,運行之,並安裝。
3 分別解壓base和docs在同一目錄下。
4 把這時候的modeltech目錄(也就是解壓縮和安裝後形成的目錄)移動到你希望程序所存放的目錄下,我這里選的是/opt。
5 把windows上的******文件拷貝到/opt/modeltech目錄下。這個liense文件最好是在本機的windows環境下生成的。如果機器沒有win環境,一定要把所使用的生成機器的mac改成自己機器的mac再用生成器生成。否則******不可用。
6 在home下的.bashrc中添加export LM_******_FILE=/YourInstallPath/Your******FileName,然後source .bashrc
7 進入安裝目錄下的linux,運行./vsim即可。

for ise and edk

1 首先,這個沒有什麼好說的,直接安裝for linux平台的setup就行了。推薦把所有安裝文件拷貝到本地硬碟上安裝,這樣快一些。
2 然後分別進入各自目錄,運行settings.sh,這個是環境變數的配置文件
3 一勞永逸的做法,應該是在用戶目錄的 .bashrc 中將這兩個sh配置文件都source進來。我用的是ubuntu,基於debian的,其它類型系統不一定有.bashrc,具體是什麼文件自行摸索,反正是用戶配置文件。

然後介紹一些如何用modelsim快速編譯xilinx庫文件。網上有很多不同版本的方法,下面這種是比較方便的一種:

1.首先將modelsim.ini文件只讀模式去掉,存檔前面打對勾。
2.在您安裝ise的目錄下,進入到bin/linux目錄下,例如xxx:ise6in t,確認有compxlib這個程序
3.在終端中中運行compxlib -s mti_se -f all -l all -o xxxmodeltech_6.0xilinx_libs就可以了,xxx:modeltech_6.0是我安裝modelsim的目錄,您可以作相應的更改。參數也可以按照您的要求作相應的更改。

這樣就搞定了。需要注意的是,如果你用的是windows系統,千萬記住ise和modelsim的安裝目錄都不要出現空格,最好是直接安裝在根目錄下。

具體單獨用modelsim進行behavioral model的方法是,打開modelsim,把你的代碼和模擬代碼文件加入,別忘了加入glbl.v文件。在哪裡?自己找吧。然後編譯,work庫這些設置好後,命令行(modelsim里的)輸入

vsim -L Xilinxcorelib_ver -L unisims_ver -L simprims_ver -lib work $yourtestname glbl

就可以了。

由於自己喜歡用vim編輯文件,感覺vim編輯verilog時比較不方便,vmake生成的makefile不是很全,所以花了一天晚上,總結了一個比較通用的,用於linux,unix環境的,適用於veirlog編程的make文件,和vim以及modelsim有機接合,可以編輯時直接編譯,最後自動保存波形並觀看。由於時間關系,以後再補充增加了ise處理命令的版本。
makefile如下:

EXECUTABLE := top_mole #to be correct
MODULE := mole_to_be_sim #to be correct

CC := vlog
CFLAGS := -reportprogress 300 +incdir+./include -work work -incr # add more option here if you want to compile with some library
COMPILE := $(CC) $(CFLAGS)

SIM := vsim
VIEW := vsim
TIME := -all
ACTION := "log -ports -internal $(EXECUTABLE)/*;log -r $(EXECUTABLE)/$(MODULE)/*;run $(TIME);quit"
SFLAGS := -c -do $(ACTION) -wlf $(EXECUTABLE).wlf
SIMULATE := $(SIM) $(SFLAGS)

SRCS := $(wildcard *.v )

all:$(EXECUTABLE)

$(EXECUTABLE):$(SRCS)
$(COMPILE) $(SRCS)

#run this when you compile the code the first time
start:
vlib work && vmap work work

sim:
$(SIMULATE) work.$(EXECUTABLE)
$(VIEW) $(EXECUTABLE).wlf

clean:
rm -rf *.wlf && bg && pkill make && pkill vish

剛想出來,有待改進。下面是我思路的大致介紹

1 在機器上安裝完modelsim(廢話)

2 為你的工程建立一個文件夾,首先用make start建立好庫文件。目錄裡面要包括源文件和測試文件。估計所有人都是這樣的吧~~

3 如果目錄不變,則以後的模擬都用這個work庫就行了。但是如果工作目錄變化的話,每一次都要重新運行一次make start,這樣才能在當前目錄下生成work目錄,用以記錄各種庫中的各個模塊信息。

4 直接make。從makefile中可以看出,調用的是vlog工具,打開了增量編譯,因此在對任何一個源文件進行修改的時候,放心make就行了,速度很快,立刻提示錯誤,呵呵。如果你使用的語言是VHDL,要把vlog改成vcom。具體VHDI的命令行編譯我沒有研究,請參考 modelsim_cmd_ref_ug.pdf這個官方文件。

5 之後用make sim進行波形模擬和查看,如果在testbench中用了display或者monitor這種語句的話,那麼輸出值會在終端中顯示,還會保存在當前目錄的transcript中。波形文件用vsim看,這是唯一需要打開gui的地方。其他時候全部命令行執行,效率高多了,自己感覺,呵呵。

我現在的問題是,只能從終端用vsim命令來啟動modelsim,如果想把快捷程序圖標加到面板上,屬性設成 /opt/modeltech/linux/vsim(安裝目錄),怎麼點都沒有不能打開程序。由於無法直接讓vsim在後台執行,所以要不然就手工關閉,要不就自己c+z後用make clean關閉,這樣比較不方便,正在想改進辦法,看能否不關閉gui而直接重新編譯並看波形,這個也有待實驗。我本來想用vsim xx.wlf &的,在終端下可以,寫進makefile就不行,還沒有找到原因。

④ 有沒有人在虛擬機中用過modelsim

用過。如果是crack版,在虛擬機里重新破解,不要直接從原機中拷貝license文件。

⑤ linux下如何用ISE調用modelsim synplify

打開ISE,菜單的「edit」-「preference」,「integrated tools」,選擇對應工具的安裝路徑,保存。

⑥ 求助,linux下modelsim license的問題

您好,我在別的論壇也看到您的問題,很高興為您解答:

確認以下問題:
1. 產生license的網卡地址是linux里的地址(ifconfig -a|more查看)
MentorGK.exe -h 000000000000 -none -ck -all (000000000000換成你linux的網卡地址)
2. LM_LICENSE_FILE指向到你的license了沒?並export LM_LICENSE_FILE ,
3. PATH指向到了modelsim/modeltech/linux
4. 如果還不行的話,換一台電腦產生license試試

如果我的回答沒能幫助您,請繼續追問。

⑦ 如何安裝modelsim 10.1c 64 linux

現在,隨著Win7、Win8操作系統的市場份額增大,大家購買的筆記本基本上都是64位配置的操作系統,因此,modelsim等軟體也要升級換代,但是,modelsim官方網頁已經沒有大家熟悉的SE版本下載安裝程序:modelsim-win64-10.1c-se.exe系統環境:windows8prox64下載完畢後,可以直接在win7內運行安裝,安裝過程中會讓選擇是否「」,選擇NO,最後按要求reboot。

⑧ 用modelsim編程時會時不時出現一個黑圓點是什麼情況(可以刪除)如圖:

這是不同操作系統的格式控制字元不同所致。modelsim最早是在linux下用的,後來移植到windows下,而linux的文本文件格式控制字元和windows下的稍有不同。比如就回車換行符來說windows下是: \r\n ,對應ASCII值13和10;unix/linux: \n,對應ASCII值是10,當然還有其他一些不一樣的字元。在用戶保存文件是,modelsim會增加一些控制字元,以兼容其他系統,這樣,可能會多出來一些字元,多出來的控制字元就會被顯示成圓點或其他可視字元。這個不影響使用,編譯器一般都能自動過濾的。

⑨ linux系統中如何調出modelsim波形界面

cd到modelsim的安裝目錄/bin文件下,或設路徑。。
./modelsim 如果就是這個名字
一般都是這樣運行的。。。

熱點內容
為什麼幼師忘記密碼證件號碼無效 發布:2024-09-08 11:46:23 瀏覽:189
聯想存儲機櫃 發布:2024-09-08 11:37:38 瀏覽:679
安卓電腦和蘋果系統哪個好 發布:2024-09-08 11:35:59 瀏覽:860
改進bp演算法 發布:2024-09-08 11:22:23 瀏覽:977
酷狗怎麼清除緩存 發布:2024-09-08 11:17:29 瀏覽:155
開發板主板交叉編譯 發布:2024-09-08 11:12:59 瀏覽:167
手機學c語言軟體 發布:2024-09-08 11:12:03 瀏覽:281
java培訓課程有那些 發布:2024-09-08 11:11:30 瀏覽:653
舊筆記本如何裝安卓系統 發布:2024-09-08 11:10:20 瀏覽:953
安卓怎麼關閉藍牙自動連接 發布:2024-09-08 10:58:12 瀏覽:11