當前位置:首頁 » 編程軟體 » vs2017編譯技巧

vs2017編譯技巧

發布時間: 2024-10-27 21:01:14

⑴ VS 2017如何編寫c語言程序

VS 2017編寫c語言程序步驟如下:

1、打開 VS 2017,在菜單欄中依次選擇「文件 --> 新建 --> 項目」,或者直接按下Ctrl+Shift+N組合鍵。




⑵ 我在VS2017裡面用C#在.net framework上編寫了一個dll,我想編譯,怎麼解決

您的編譯已經完成了。在debug或者release文件夾下可以看到.dll文件(也可能會有xml文件),但是這是一個類庫,本身是不能直接運行的,所以在VS中使用「運行」(F5)會彈出這樣的提示。如果您想要調試這個類庫,請在解決方案下添加一個新的項目,引用這個類庫項目,然後進行測試。

⑶ 使用vs2017如何進行systemc 編程

一、編譯System庫
下載SystemC library source code
下載SystemC library,目前的版本是systemc 2.3.1
以SystemC 2.3.1為例,下載後的文件名喂systemc-2.3.1.tgz,解壓到工作目錄下:...(個人的工作目錄路徑)systemcsystemc-2.3.1
打開....systemcsystemc-2.3.1msvc80SystemC目錄下的SystemC.sln
systemC的軟體開發環境篇
VS2012 "生成(Build英文)"-->「生成解決方案(Build Solution)」,生成SystemC.lib文件。
systemC的軟體開發環境篇
如果編譯成功的話(忽略那些Warning)。
在..systemcsystemc-2.3.1msvc80SystemCdebug目錄下就生成了SystemC.lib
PS:編譯systemc-2.3.0會遇到以下問題:
systemC的軟體開發環境篇
VS2012在編譯時會遇到這樣的問題:fatal error C1189: #error : The C++ Standard Library forbids macroizing keywords. Enable warning C4005 to find the forbidden macro.導致生成庫不成功,
解決方案是:工程項目SystemC處右鍵Properties -> configuration Properties ->C/C++ -> Preprocessor -> Preprocessor Definitions 添加_XKEYCHECK_H。
systemC的軟體開發環境篇
systemC的軟體開發環境篇
然後就可以編譯通過了

二、新建SystemC工程,並配置項目屬性
有了編譯的SystemC.lib庫,我們就可以在vs2012配置我們的systemc工程屬性了
新建項目,win32控制台應用程序,控制台應用程序設置時選擇「空項目」。
添加.cpp源文件與.h頭文件。
以下是一個簡單地hello systemC的程序 功能是列印三行語句
Hello,SystemC!
by Eagleson
by Eagleson2016-01-12

源代碼如下
//main.c
#include
#include "hello.h"

int sc_main(int, char**){
hello h("hello");
system("pause");
return 0;
}
//hello.h
#ifndef _HELLO_H
#define _HELLO_H
#include "systemc.h"
#include
#include
using namespace std;

void print1(string & name){
name = name + "2016-01-12";
cout<
}

SC_MODULE(hello)
{
SC_CTOR(hello)
{
cout<<"Hello,SystemC!"<
string str;
str="by Eagleson";
cout<
print1(str);
}
};
#endif
添加源文件後進行項目屬性設置。
C/C++→常規→附加包含目錄 (..systemc-2.3.1src)
systemC的軟體開發環境篇
C/C++ →語言→啟用運行時類型信息→是
systemC的軟體開發環境篇
C/C++→代碼生成→運行庫→多線程調試(/MTd)
systemC的軟體開發環境篇
C/C++→ 命令行→其它選項 加上/vmg
systemC的軟體開發環境篇
Linker →常規→附加目錄庫 (..systemc-2.3.1msvc80SystemCDebug)
systemC的軟體開發環境篇
Linker →輸入→附加依賴庫(SystemC.lib)
systemC的軟體開發環境篇
C/C++→所有選項→警告等級 等級1(/W1)
systemC的軟體開發環境篇
上述屬性設置在每次建立SystemC工程時都需要設置。若想免去每次都設置的麻煩可通過以下方法。
View-->Property Manager 在左側會有屬性窗口打開。展開樹形找到「Microsoft.Cpp.Win32.user」,雙擊之後就可以設置所有項目的屬性了。
systemC的軟體開發環境篇
三、編譯、調試程序

systemC的軟體開發環境篇
與我們預期的結果是一致的。
SystemC基於VS2012的軟體平台搭建到這里就完成了。有了這個平台環境,後面的語法學習和練習就不只是紙上談兵了。

熱點內容
qq怎麼上傳相冊圖片 發布:2024-10-27 22:53:21 瀏覽:814
差額及演算法 發布:2024-10-27 22:36:44 瀏覽:290
解壓番茄球有毒嗎 發布:2024-10-27 22:36:43 瀏覽:861
動態密碼在哪裡獲得 發布:2024-10-27 22:36:42 瀏覽:183
ios9照片加密 發布:2024-10-27 22:18:29 瀏覽:49
pythonyaml安裝 發布:2024-10-27 22:06:32 瀏覽:585
php5升級到php7 發布:2024-10-27 21:46:06 瀏覽:737
安卓機都在哪裡下載游戲 發布:2024-10-27 21:23:57 瀏覽:647
伺服器里的視頻怎麼做成鏈接 發布:2024-10-27 21:23:19 瀏覽:382
資料庫還原出錯 發布:2024-10-27 21:23:14 瀏覽:379