modelsim編譯altera庫
Ⅰ modelsim不能編譯Altera的庫文件。
編譯庫應該成功了,在你調用altera庫時,沒有指定這些altera庫的位置,一般用 -L lib_altera
後面的那個lib_altera名字由你自己指定的,load lib時指定的名稱。
Ⅱ Modelsim SE 10.0怎樣添加Altera 庫
altera 中有自帶的modelsim庫編譯工具 編譯好了之後會直接關聯到modelsim的軟體庫中 可以在modelsim的安裝路徑的modelsim.ini的文件中進行設定
Ⅲ 如何在modelsim下創建Altera模擬庫
1)新建一個VHDL文件,代碼如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;ENTITYCNT4ISPORT(CLK:INSTD_LOGIC;Q:OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDCNT4;:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENQ1CLK,Q=>Q);init:PROCESS--variabledeclarationsBEGIN--codethatexecutesonlyonceWAIT;ENDPROCESSinit;always:PROCESS--optionalsensitivitylist--()--variabledeclarationsBEGIN--;ENDPROCESSalways;ENDCNT4_arch;做如下修改:LIBRARYieee;USEieee.std_logic_1164.all;ENTITYCNT4_vhd_tstISENDCNT4_vhd_tst;ARCHITECTURECNT4_archOFCNT4_vhd_tstIS--constants--signalsSIGNALCLK:STD_LOGIC:='1';給時鍾信號賦初值SIGNALQ:STD_LOGIC_VECTOR(3DOWNTO0);COMPONENTCNT4PORT(CLK:INSTD_LOGIC;Q:OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDCOMPONENT;BEGINi1:CNT4PORTMAP(--=>CLK,Q=>Q);always:PROCESS--optionalsensitivitylist--()--;等待50nsCLK<=NOTCLK;對時鍾信號取反ENDPROCESSalways;ENDCNT4_arch;3)保存之後按菜單欄Assignments|Setting,如下圖所示。4)按菜單欄Tools|RunEDASimulation|EDAGateLevelSimulation:5)等待片刻後會彈出如下窗口,就是模4計數器的波形圖。
Ⅳ 可否在modelsim中新建一個資源庫,然後把altera所有的模擬庫文件全部編譯在這一個資源庫中
我是把所有放在一個庫中 也出現這個問題 ,,你是怎麼解決的,庫分開編譯么??
Ⅳ 用modelsim編譯altera模擬庫命令,用DOS怎麼寫
直接把要編譯的庫放到一個文件夾里,然後在該文件夾下運行如下命令:
vlib
work
vlog
*.v
就OK了
Ⅵ 如何在ModelSim中增加Xilinx/Altera庫的方法
1. 找到modelsim的安裝目錄,在安裝目錄下找到$:\modeltech_6.5\modelsim.ini,修改modelsim.ini的屬性(去掉「只讀」);在目錄ModelSim下的modelsim.ini文件中的[Library]到[vcom]之間加入如下代碼:
;Xilinx
; VHDL Section
unisim = $MODEL_TECH/../xilinx/vhdl/unisim
simprim = $MODEL_TECH/../xilinx/vhdl/simprim
xilinxcorelib = $MODEL_TECH/../xilinx/vhdl/xilinxcorelib
aim = $MODEL_TECH/../xilinx/vhdl/aim
pls = $MODEL_TECH/../xilinx/vhdl/pls
cpld = $MODEL_TECH/../xilinx/vhdl/cpld
; Verilog Section
UNISIMS_VER = C:\Xilinx\10.1\ISE\verilog\mti_se\unisims_ver
UNIMACRO_VER = C:\Xilinx\10.1\ISE\verilog\mti_se\unimacro_ver
UNI9000_VER = C:\Xilinx\10.1\ISE\verilog\mti_se\uni9000_ver
SIMPRIMS_VER = C:\Xilinx\10.1\ISE\verilog\mti_se\simprims_ver
XILINXCORELIB_VER = C:\Xilinx\10.1\ISE\verilog\mti_se\XilinxCoreLib_ver
AIM_VER = C:\Xilinx\10.1\ISE\verilog\mti_se\abel_ver\aim_ver
CPLD_VER = C:\Xilinx\10.1\ISE\verilog\mti_se\cpld_ver
SECUREIP = C:\Xilinx\10.1\ISE\verilog\mti_se\secureip
(上述的安裝路徑是我電腦上的ISE的Library庫路徑)altera庫的添加方法如上所示。
.......
2.
Simprim_ver:用於布局布線後的模擬。
Unisim_ver:如果要做綜合後的模擬,還要編譯這個庫。
Xilinxcorelib_ver:如果設計中調用了CoreGen產生的核,則還需要編譯這個庫。
我們要為modelsim生成的是標准庫。所謂的標准庫就是modelsim運行後,會自動載入的庫。不過這方面我還不是很肯定。因為我在後模擬時,還是要為模擬指定庫的路徑,不然modelsim找不到。
第一步:在modelsim環境下,新建工程,工程的路徑與你想把庫存儲的路徑一致。(這一步其實可不用,直接跳到第二步開始的...)
第二步:新建庫,庫名起作simprim_ver。我們首先就是要建的就是這個庫。
第三步:在modelsim的命令欄上,打下如下命令:
vlog -work simprim_ver d:/Xilinx/verilog/src/simprims/*.v
其中的d:/Xilinx是我的Xilinx的安裝路徑,你把這個改成你的就行了。以下凡是要根據自己系統環境改變的內容,我都會用綠色標出,並加一個下劃線。編譯完之後,你會發現你的工程文件夾下出現了一個simprim文件夾,裡面又有很多個文件夾。這些就是我們要的庫了。
第四步:按照上面的方法,編譯另外兩個庫。所需要鍵入的命令分別如下:
vlog -work unisim_ver d:/Xilinx/verilog/src/unisims/*.v
vlog -work xilinxcorelib_ver d:/Xilinx/verilog/src/XilinxCoreLib/*.v
如果你想要編譯的是VHDL的庫,你需要建立的庫分別是simprim,unisim和xilinxcorelib。這三個庫所需要的modelsim指令分別如下:
vcom –work simprim d:Xilinx/VHDL/src/simprims/simprim_Vcomponents.vhd
vcom –work simprim d:Xilinx/VHDL/src/simprims/simprim_Vpackage.vhd
vcom –work simprim d:Xilinx/VHDL/src/simprims/simprim_VITAL.vhd
vcom –work unisim d:Xilinx/VHDL/src/unisims/unisim_VCOMP.vhd
vcom –work unisim d:Xilinx/VHDL/src/unisims/unisim_VPKG.vhd
vcom –work unisim d:Xilinx/VHDL/src/unisims/unisim_VITAL.vhd
vcom –work unisim d:Xilinx/VHDL/src/unisims/unisim_VCFG4K.vhd
vcom –work xilinxcorelib d:Xilinx/VHDL/src/ XilinxCoreLib/*.vhd
關於VHDL方面,我沒有實踐過,如果有誤的話,改一下應該問題也不大。
第五步:把庫建好後,接下來的事情就是使它成為modelsim的標准庫。這只要修改modelsim安裝目錄下的modelsim.ini文件就可以了。修改後的內容如下:
[Library]
std = $MODEL_TECH/../std
ieee = $MODEL_TECH/../ieee
verilog = $MODEL_TECH/../verilog
vital2000 = $MODEL_TECH/../vital2000
std_developerskit = $MODEL_TECH/../std_developerskit
synopsys = $MODEL_TECH/../synopsys
modelsim_lib = $MODEL_TECH/../modelsim_lib
simprim_ver = G:/EDA/Xilinx/simprim_ver(庫的路徑,以下同)
unisim_ver = G:/EDA/Xilinx/unisim_ver
xilinxcorelib_ver = G:/EDA/Xilinx/xilinxcorelib_ver
注意的是,這個文件是只讀屬性。修改之前要把這個屬性去掉。
第六步:關掉工程,重啟modelsim。查看這3個庫是否在library框裡面。
如果你看到了,那麼恭喜!
至此,就可以用ISE直接調用Modelsim做模擬了。
3. 第三種方法最簡單,直接找到C:\Xilinx\10.1\ISE\bin\nt\compxlib.exe,打開compxlib.exe,一路默認確定即可...
Ⅶ 如何在modelsim中添加altera的模擬庫
1.設置模擬庫路徑 打開ModelSim安裝目錄(我用的是ModelSim SE 6.2版本,安裝在D:\ModelSim\Installfiles目錄下),新建文件夾altera,我們就在該目錄下存放預編譯的各種Altera庫。 啟動ModelSim SE 6.2,在主窗口執行【File】/【Change Directory】命令將路徑轉到altera文件夾。或在命令行中執行cd D:/ModelSim/Installfiles/altera。
2.新建庫 Quartus II中提供的模擬庫文件存放的路徑是...\altera\80\quartus\eda\sim_lib,每個庫文件提供了兩種形式:.v(Verilog)
格式和.vhd(VHDL)格式兩種,根據你所用的語言選擇使用。用於編譯資源庫的文件有220model.v,220model.vhd,220pack.vhd,altera_mf.v,altera_mf.vhd,altera_mf_components.vhd,altera_primitives.v,altera_primitives.vhd,altera_primitives_components.vhd文件。網上的很多教程都是把這些文件一起編譯,這樣適用於Verilog和VHDL混合模擬,但如果只用一種語言,如Verilog則完全沒必要全部編譯。下面以該目錄下的altera_mf.v為例介紹建立預編譯庫的方法。 註:ModelSim中模擬庫可以分為兩大類:第一類是工作庫(working),默認值為「work」目錄,work目錄中包含當前工程下所有被編譯的設計單元,編譯前必須建立一個work庫,並且每個編譯有且僅有一個work庫;第二類是資源庫(resource),存儲能被當前編譯引用的設計單元,在編譯期間允許有多個resource庫。 在主窗口中選擇【File】/【New】/【Library】命令,新建一個名為altera_mf的庫。
3.編譯庫 方便起見在altera文件夾下新建目錄src,把用於編譯資源庫的文件復制到src文件夾中。 在主菜單中選【Compile】/【Compile】命令,彈出Compile Source Files對話框,library中選擇你剛才建立的庫名
altera_mf,查找范圍你選擇altera_mf.v文件,剛才你已經把它復制到了...\altera\src目錄下。執行編譯命令。
繼續按照步驟2和3中介紹的方法添加剩下的幾個庫。添加完成後如下圖所示。
4.配置modelsim.ini文件 這一步是為了將前面建立的庫添加進系統庫,以後就不用再重復添加了。 ModelSim安裝根目錄下的配置文件modelsim.ini的只讀屬性去掉,用記事本或其他文本編輯程序打開。在[Library]下修改前面
添加的庫的路徑。注意修改後關閉並改回只讀屬性。 註:第1步設置的模擬庫路徑必須在ModelSim的安裝目錄下才能事業能夠此相對路徑。 altera_primitives = $MODEL_TECH/../altera/altera_primitives 220model = $MODEL_TECH/../altera/220model altera_mf = $MODEL_TECH/../altera/altera_mf
5.添加Altera底層硬體原語模擬庫(ATOM) 在時序模擬時,必須載入所使用到的對應於具體Altera器件的底層硬體原語的模擬庫(ATOM)。 上面編譯了Altera三個資源庫文件:220model.v,altera_mf.v,altera_primitives.v,編譯通過之後。根據我們選用的具體器件型號繼續編譯我們所需要的器件底層原語模擬庫文件,比如我們選擇使用的是cycloneii,所以編譯cycloneii_atoms.v。
另外,在進行模擬的時候,還要注意將上述已經編譯的相關庫添加到當前的工程中,以便相關lpm之類的核的調用,操作如下:在Start Simulation窗口的libraries一欄下進行添加,如圖:
然後再選擇work目錄中當前工程的頂層實體進行模擬即可。
若是要進行時序模擬,則還應在SDF一欄進行.sdo延時文件的添加。
Ⅷ 如何在ModelSim里模擬Altera的lpm
1. 在QuartusII 中生成rom的初始化文件,選擇生成hex 文件,因為ModelSim不支持mif 文件的轉化。這里要注意一下的是,不要擅自改動 Quartus->Tools->Options->Memory Editor 中的內容,默認的設置就好,不然生成的hex 文件會有地址上的偏差; 2. 在ModelSim安裝的目錄下找到modelsim.ini 文件,將其只讀屬性去掉,在vsim部分里 添加一行「Veriuser = D:/altera/quartus50/eda/mentor/modelsim/convert_hex2ver.dll」,保存 文件,將只讀屬性改回來。當然,這里的路徑要改成實際上您Quartus 的安裝路徑。其 中的convert_hex2ver.dll 就是把hex 文件轉換成ModelSim能認出來的ver 文件的動態鏈接庫文件; 3. 按普通方式在ModelSim中新建項目,建立work庫,在庫中添加需要模擬的文件和Altera 的系統庫文件altera_mf.v 和220model.v,一起編譯。編譯成功後即可添加波形模擬了! convert_hex2ver.dll 文件會自動調入來轉化hex 文件。 我已照此方法模擬成功。
Ⅸ 如何在modelsim SE 中指定Altera的模擬庫中文版
1.設置模擬庫路徑 打開ModelSim安裝目錄(我用的是ModelSim SE 6.2版本,安裝在D:\ModelSim\Installfiles目錄下),新建文件夾altera,我們就在該目錄下存放預編譯的各種Altera庫。 啟動ModelSim SE 6.2,在主窗口執行【File】/【Change Directory...
Ⅹ 為什麼使用Modelsim SE編譯Altera的庫文件時會遇到錯誤信息
modelsim是一個可以和altera中EDA工具無縫對接的軟體,英文的提示表明你的安裝路徑不對,它的意思是讓你的modelsim和Altera的其他軟體裝到同一個目錄下面,我一般也都是讓他默認安裝到C盤某個固定的文件夾,就不會出錯了。